CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - timer vhdl

搜索资源列表

  1. dingshiqi188

    0下载:
  2. VHDL--定时器设计-Design of a Timer Based on CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:32373
    • 提供者:雇主
  1. shuzizhong_VHDL

    0下载:
  2. 用VHDL语言写了数字钟程序,并用数码管显示,经过硬件调试可行-timer clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:640457
    • 提供者:cc
  1. Exp3_Timer

    0下载:
  2. 用VHDL在SOPC试验箱中实现定时器,用VHDL硬件描述语言实现处理器CPU-Use VHDL to implement the timer in SOPC chamber, with the VHDL hardware descr iption language processor CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:13106362
    • 提供者:jiajinying
  1. ISE_lab16

    0下载:
  2. 使用VHDL语言设计数字钟。 数字钟由晶振、分频器、计时器、译码器、显示器等组成-Digital clock design using the VHDL language. Digital clock from the crystal oscillator, frequency divider, timer, decoder, display and other components
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:482290
    • 提供者:zhangsheng
  1. RS232_FIR

    0下载:
  2. Quartus II was a development tool of CPLD / FPGA by Altera Company. Quartus II provides a fully integrated circuit structure and has nothing with the development package environment, it has all the features of digital logic design, it is including: a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:202597
    • 提供者:jay
  1. 30daojishi

    0下载:
  2. 30秒倒计时器,基于VHDL语言。具有循环计时功能,-30 seconds countdown timer, based on the VHDL language. With a cycle time function,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:34470
    • 提供者:Tony
  1. siluqiangdaqi

    0下载:
  2. 通过VHDL程序设计一个4人参加的智力竞赛抢答计时器,当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。 电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示为0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 -VHDL programming by a 4 quiz participants answer in timer, when a participant first press the answe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:6132
    • 提供者:longking
  1. clock

    0下载:
  2. 用VHDL实现多功能数字钟 闹铃 计时 动显 报时等-VHDL realization of multi-functional digital clock with alarm timer was timekeeping and other fixed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:445779
    • 提供者:顾利琳
  1. digital-electronic-clock

    0下载:
  2. 基于VHDL的数字电子时钟的设计 实现计时,秒表,闹钟功能-VHDL-based design implementation digital electronic clock timer, stopwatch, alarm clock function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:215952
    • 提供者:min
  1. ise8tut

    0下载:
  2. 定时器的VHDL程序,包括几个文件,分别使用了集中顶事方式.-timer program using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1563332
    • 提供者:秦皓添
  1. VHDLBasicExperimentSJTU

    0下载:
  2. 上海交大几个基础VHDL 实验的代码,包括分频器,计数器,七段计数器,状态机,锁存器等-Shanghai Jiaotong University and a few experiments of basic VHDL code, including the frequency divider, timer, seven segment counter, state machines, latches, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:864836
    • 提供者:魏玉萍
  1. clock1

    0下载:
  2. VHDL语言实现多功能数字钟设计:(1) 计时功能:这是本计时器设计的基本功能,每隔一分钟计时一次,并在显示屏上显示当前时间。 (2) 闹钟功能:如果当前时间与设置的闹钟时间相同,则扬声器发出蜂鸣声。 (3) 设置新的计时器时间:用户用数字键‘0’~‘9’输入新的时间,然后按 "TIME"键确认。 (4) 设置新的闹钟时间:用户用数字键“0”~“9”输入新的时间,然后按“ALARM”键确认。过程与(3)类似。 (5) 显示所设置的闹钟时间:在正常计时显示状态下,用户直接
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:346217
    • 提供者:雪圣
  1. sequential_design_Timer

    0下载:
  2. Document on sequential design Timer in VHDL for starters.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:390048
    • 提供者:pinky
  1. trafficlight

    0下载:
  2. 基于VHDL的十字路口交通灯控制系统设计与实现,定时器模块由25S、5S、20S三个定时器组成,分别确定相应信号灯亮的时间。三个定时器采用以秒脉冲为时钟的计数器实现。eg、ey、er分别是三个定时器的工作使能信号,tm25、tm5、tm20是三个定时器的计数结束指示信号。 控制模块是对系统工作状态的转换进行控制,根据交通规则可得系统状态转换情况。ar、ay、ag br、by、bg分别表示由控制器输出的A道和B道的红、黄、绿信号灯亮的时间;eg、ey、er分别表示由控制器输出的控制25S、5S
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:185135
    • 提供者:蔡利波
  1. cntm60

    0下载:
  2. VHDL实现的60s计时器,用于时钟控制电路,实现计时。-the 60 seconds timer based on VHDL is used to controling the electronic circuit of timer.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:144769
    • 提供者:林子
  1. wtut_vhd

    0下载:
  2. spartan 3E 1600开发板的秒表计时器源程序,VHDL语言-source code of timer on spartan 3E1600 development board in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:471896
    • 提供者:zhangjianghan
  1. a_vhdl_8253_timer_latest[1].tar

    0下载:
  2. 因特尔8254 计时器的vhdl语言实现-a VHDL version of the Intel 8254 timer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:107899
    • 提供者:hxr
  1. VVHDL_32bit_tH

    0下载:
  2. VHDL写的32位计数,两个四位共阳数码管输出串口输出+数码码管显示的计时器程序每次停止后串口输出。,已通过测试。 -VHDL written 32 count, two four sun digital serial output tube output serial output the+ digital code to display the timer program each stop. , Has been tested.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:245607
    • 提供者:表现
  1. div50m

    0下载:
  2. 用VHDL代码编写的50分频器,已经经过Quarter仿真,证明正确,可用于计时器中-50 divider using VHDL code has After Quarter simulation, proved correct, can be used in the timer
  3. 所属分类:LabView

    • 发布日期:2017-11-14
    • 文件大小:1247
    • 提供者:赵晓星
  1. dingshijishu.vhd

    0下载:
  2. 基于VHDL语言环境的定时计数程序,可进行简单的定时计数,供大家改进开发。-Simple timer count timer count program based on the VHDL language environment for improved development.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:724
    • 提供者:宋喜望
« 1 2 3 4 56 7 »
搜珍网 www.dssz.com